这是my_state源程序:(显示0-9)
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity my_state is
port ( clk: in std_logic;
flow_out:out std_logic;
show:out std_logic_vector(3 downto 0));
end;
architecture one of my_state is
type mystate is (s0,s1,s2,s3,s4,s5,s6,s7,s8,s9,s10);
signal current_state,next_state :mystate;
begin
process (clk)
begin
flow_out show