yet

个性签名:爱好拍砖打假

    1. 基于施密特触发器的新型开关电源电路 23/4246 电源技术 2022-06-16
      不亦心 发表于 2022-6-15 14:33 狗屁不通。
      和你老伙计一样啊,一言不合就开马。你在四楼给出的第一电路7805是施密特电路?不想承认吧
    2. 二极管的并联 57/8148 电源技术 2022-04-22
      没有任何技术含量,自娱自乐一下完全可以。雕虫小技而已,现在的器件工艺性能提高了,并不代表楼主有多神奇,类似两个电阻并联很常用,大版主在其他回贴说有时间二极管不能并联是有环境的,没什么错,用不着这么变态地证明一下,楼主太阿Q而已 。
    3. 就是位段,有时存储信息不需要完整字节,只需要几个二进制。这个真不常用
    4. RH850 中断问题 2/5637 瑞萨电子MCU 2022-04-11
      要确认中断服务函数是否声明,检查程序中是否有中断使能、中断端标志位。在boot.asm中释放 USE_TABLE_REFERENCE_METHOD .set 1,然后在.section “EIINTTBL“, const下方增加中断服务函数入口说明。
    5. 欢迎加入开源项目--Aurora 9/2962 创意市集 2022-02-01
      国内现在右不少厂家可以pin2pin替代ST的,想留个后路,所以在准备在硬件设计上兼容STM32F1和STM32F4系列的单片机
    6. chunyang 发表于 2021-2-22 23:04 晶体管在此为射极跟随器,故而只要基极电位稳定,发射极输出电压就为基极电位减去一个PN结压降。
      回答让人笑喷 问的是5610不做开关使用的情况,你给人家扯PN结,会,就一针见血回答,不会,别误人子弟
    7. ATH10温湿度测量不准 16/4937 测试/测量 2021-02-21
      chunyang 发表于 2021-2-20 16:15 长期处于稳定环境中的温湿度传感器确实可能在上电早期相对最准,但原因不是楼主说的什么有电流在干扰,而是 ...
      在哪复制粘贴 啰哩啰嗦的答非所问
    8. 这个GD32307E-START容易死机吗,GD的源码也是while结构的,能直接搞到项目里运行么
    9. vivado怎么给一个子程序写testbench 3/5861 FPGA/CPLD 2020-10-25
      最近在玩这个,有基本的模式,修改一下基本能用。   1. 给模块取一个名字(可任意取,一般在仿真模块后加"_test")     例如:         module myDesign_test;           /*/*/         endmodule 2. 定义变量类型    将输入信号定义为reg类型的;将输出信号定义为wire类型的; 3. 例化模块,并将输入的信号和2中定义的信号进行关联。    例如: myDesign myDesignuut(.rst(rst),                                       .clk(clk),                                       .data_in(data_in),                                          ...........                                       .data_out(data_out) ); 4. 产生时钟模块    根据需要产生一个或多个时钟。一般先定义时钟周期为一个常量。    例如:     Parameter CYCLE = 100;     always   #(CYCLE) clk = ~clk;//定义系统时钟     always @(clk) clk2 = ~clk2;//二分频         -----各种生成时钟的方法就不说了 5. 时钟控制和reset信号的控制 一般放在initial模块里,跟据具体需要对reset信号进行编辑;并注意时钟信号的初始化,否则无法产生正常的时钟信号(若不初始化,clk可能默认为x状态,~x状态仍为x,即不会产生时钟驱动)。 例如:    initial begin clk = 0; clk2 = 0; .............//时钟相关信号初始化 rst = 0; #(3*CYCLE); rst = 1; #(CYCLE); rst = 0; ......//根据需要对整个系统的复位信号进行设计,要有清晰的时序观念 end 6. 初始化文件操作 基本的文件操作包括读和写,将它们写在一个initial中(仅关心文件的打开和关闭,不进行仿真过程中的写操作)。 读操作需要一个采用ROM格式写的文件,和一个ROM单元: 例如:       reg[10:0] my_rom [2:0];       $readmemb("my_rom_file.txt",my_rom); 写操作需要一个文件句柄,还要注意仿真结束前关闭文件操作。 例如: integer fid; fid = $fopen("resut.txt"); ......... #(1000000*CYCLE); $fclose(fid); 7. 控制信号和输出结果的编程 根据具体的实例对控制信号进行编程,并采样其输出结果,分多个initial模块进行。要形成很强的时序观念,并尽量使每个initial模块结构相对单一。此时大多调用文件写操作保存仿真结果。 例如: .......       $fdisplay(fid,"%b",data_out);       ....... 8.结束testbench程序的运行 用$stop 或$finish结束程序的运行,另起一个initial。 例如:          initial         begin               #(1000000*CYCLE);              $ stop;         end /++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++/
    10. LPC7168的QEIPOS获取不到值 3/2581 NXP MCU 2020-10-25
      配置确实又错,代码是移植过来的吧 好好看看寄存器手册
    11. 挑战FreeRTOS学习+shell 任务实现 1/3995 stm32/stm8 2020-09-08
      期待,读取外部信息不好整
    12. NXP LPC824 5/3186 NXP MCU 2020-07-08
      直接用keil5,安装插件
    13. LPC1788元件库和封装库 81/21665 NXP MCU 2020-07-08
      LPC1788这颗芯片其实挺好用的
    14. 一个能量传输方向的问题 35/5455 电源技术 2020-05-13
      一唱一和的典范,世界由此而精彩! 蚂蚁一个见谁怼谁的奇人,竟然你俩观点出奇地一辈子一直一致,跪拜的起不来了,   沆瀣一气
    15. 燃烧鲜橘子皮得到的能量是正的还是负的? 17/2959 聊聊、笑笑、闹闹 2020-02-16
      tianshuihu 发表于 2020-2-16 09:12 思维实验了解一下
      知足吧,打住, 说别人都是小学 这次是初中学的,已经高看你了
    16. 燃烧鲜橘子皮得到的能量是正的还是负的? 17/2959 聊聊、笑笑、闹闹 2020-02-16
      PowerAnts 发表于 2020-2-16 09:51 2楼的说法2分钟解除问题,蒸发热和燃烧值是初中学的,橘子皮含水量在农科网站可查。基本常识都不懂的话, ...
      又来了,不是小学学的,就是初中学的。 算是服了,无论那个方面,不是懂,而是精。
    17. 感谢自已 4/1192 聊聊、笑笑、闹闹 2019-12-14
      楼主作为法拉第的学生,在其他家论坛不让发言,压力山大,低调点,理解。
    18. MAX1033 ad采样问题 2/3183 NXP MCU 2019-12-14
      外部供电时用REFCAP模式,由软件编程确定每通道独立, 单端输入范围为0V到+6V、 -6V到0V、 0V到+12V、-12V到0V、 ±3V、±6V及± 12V,;差分输入范围为±6V、±12V及±24V ,,,
    19. 感谢自已 4/1192 聊聊、笑笑、闹闹 2019-12-14
      楼主终于能有这么大的悟,终于走上正道,改了脾气,很好。
    20. PowerAnts 发表于 2019-12-5 08:30 FR5不是玻纤? CME3不是玻纤?写这玩意儿的人不知道PCB的芯板?任何PCB的基础材料先得有芯板+铜箔构成的覆 ...
      你是吃啥的?这么牛

最近访客

< 1/4 >

统计信息

已有276人来访过

  • 芯积分:8
  • 好友:--
  • 主题:16
  • 回复:208

留言

你需要登录后才可以留言 登录 | 注册


现在还没有留言