-
可以综合的,综合选项没设置对吧,generate是Verilog-2001的语法
-
可能是你的library没加全
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all
use ieee.std_logic_arith.all;
-
LogicLock是QuartusII里的一个工具,用于将设计中某个模块指定布局布线的区域。
出现这个warning可能是你的QuartusII没有完全破解,如果其它操作没什么影响的话,可以将这个warning忽略
-
-