-
haodongxi mark
-
上面是我的VHDL代码,想实现按键点亮LED灯,我的开发板是led是给低电平亮,大家看看那里不对??
-
library ieee;
use ieee.std_logic_1164.all;
entity light_led is
port(
key : in std_logic;
led1 : out std_logic;
led2 : out std_logic;
led3 : out std_logic
);
end light_led;
architecture light of light_led is
begin
process(key)
begin
if key = '0' then
led1
-
good
-
谢谢了大神
-
没有啊,你能告诉我中断怎么用么?在协议栈中
-
你好,我zigbee学了一段时间,可以实现一些基本的功能,比如通过协调器控制终端节点开关灯,串口发送数据,也了解一些协议栈的工作原理,但一些比较深层次的问题还是有点模糊。中断不太懂,能给点指导么?