jeff0725

    1. Let me take a look~
    2. 七大项比拼,iPhone就是比Android好 132/23749 移动便携 2012-02-06
      沒看過~謝謝大大分享~
    3. FPGA 接腳問題 5/6639 FPGA/CPLD 2010-08-11
      謝謝上面的回答, Suspend 是用在於 power saving 嗎? 如果是的話, 那我應該是把它 pull low... INIT_B, DONE, AWAKE 我都接 VCCAUX 電位..(應該是沒錯), 至於 PUDC_B 如果pull low, 是把所有 I/O 腳位的 internal pull up resistors 都連上嗎? 一般這隻腳胃都怎麼處理? 非常感謝
    4. FPGA 接腳問題 5/6639 FPGA/CPLD 2010-08-10
      英文看不太懂, 目前PROG_B 接一個pull-up resistor, 再接VCCAUX, INIT_B & DONE & AWAKE 接LED, 至於SUSPEND & PUDC_B 不知道怎麼接? 非常感謝
    5. Spartan-3AN XC3S50AN clock 12/7852 FPGA/CPLD 2010-08-02
      想再請問一下, 如果我有個訊號是19.2MHz, 使用DCM 可以倍頻到多少? 看datasheet, 上面寫 DFS 是 0.2 ~333 MHz, 是表示我最低 input clkin 要 0.2MHz, 最高可以倍頻到 333MHz 嗎? 謝謝指教
    6. Spartan-3AN XC3S50AN clock 12/7852 FPGA/CPLD 2010-07-30
      多個檔案是指當我啟動FPGA後, 掛載在內建flash的檔案能一一執行, 之前隨便寫一個小檔案, 產生的.bit檔案都差不多1.5M, 感覺內建的flash 好像不夠大?  謝謝你們的建議
    7. 請問一下, XC3S50AN 如果我有一個clock, 是該先接 GCLK or LHCLK or RHCLK? 差別在哪裡? 謝謝
    8. Spartan-3AN XC3S50AN clock 12/7852 FPGA/CPLD 2010-07-28
      恩, 謝謝大家的指導, 最後我還有個疑問, 這顆XC3S50AN 已有內建 flash memory, 是否可以燒錄多個檔案? 如果可以, 如何讓它們能依照順序啟動? 如果這顆 flash memory 不夠用? 我是否還能在外接一個 SPI flash memory? 非常謝謝
    9. Spartan-3AN XC3S50AN clock 12/7852 FPGA/CPLD 2010-07-28
      還有請問一下你的的資訊是在哪一份文件的哪一頁? 所以我是要看 DLL 還是 DFS? 這兩個有什麼差別? 還有從外面近來的clock, 是不是都會透過 FPGA 裡的 DCM? 這邊我有點不太懂, 很感謝妳們的指導,  非常感謝
    10. Spartan-3AN XC3S50AN clock 12/7852 FPGA/CPLD 2010-07-28
      我的意思是指這顆XC3S50AN 它的 clock resource 有上限範圍嗎?(最高可以到多少MHz?) 所以 clock 訊號還是要從外面拉來給FPGA嗎? 對這方面還是不是很懂, 多多指教, 謝謝
    11. verilog串口问题 5/4387 FPGA/CPLD 2010-06-28
      這是我用的串口通信碼: `timescale 1ns / 1ps module serialfun(clk, RxD, TxD, GPout, GPin);input clk; input RxD;output TxD; output [7:0] GPout;input [7:0] GPin; ///////////////////////////////////////////////////wire RxD_data_ready;wire [7:0] RxD_data;async_receiver deserializer(.clk(clk), .RxD(RxD), .RxD_data_ready(RxD_data_ready), .RxD_data(RxD_data)); reg [7:0] GPout;always @(posedge clk) if(RxD_data_ready)  GPout <= RxD_data; ///////////////////////////////////////////////////async_transmitter serializer(.clk(clk), .TxD(TxD), .TxD_start(RxD_data_ready), .TxD_data(GPin)); endmodule   async_receiver, async_transmitter 可以在 http://www.fpga4fun.com/SerialInterface5.html 下載看到   以下是我寫的 testbench: `timescale 1ns / 1ps module serialfun_tb;   reg clk; reg RxD; reg [7:0] GPin;      wire TxD; wire [7:0] GPout; /*wire [7:0] RxD_data; wire RxD_data_ready; parameter Baud =115200; parameter ClkFrequency =27000000; parameter Baud8 = Baud*8; parameter Baud8GeneratorAccWidth = 16; parameter Baud8GeneratorInc = ((Baud8<<(Baud8GeneratorAccWidth-7))+(ClkFrequency>>8))/(ClkFrequency>>7); reg [Baud8GeneratorAccWidth:0] Baud8GeneratorAcc;*/ parameter clkper = 37;   serialfun uut (  .clk(clk),   .RxD(RxD),   .TxD(TxD),   .GPout(GPout),   .GPin(GPin) );  initial  begin  clk = 1;  RxD = 0;  GPin = 0; end   always begin  #(clkper);  clk <= ~clk; end   always begin  #5;  #100;  RxD <= ~RxD;  end  always begin  #10  GPin = 8'h01;  #10  GPin = 8'h02;  #10  GPin = 8'h04;  #10  GPin = 8'h08;  #10  GPin = 8'h10;  #10  GPin = 8'h20;  #10  GPin = 8'h40;  #10  GPin = 8'h80;  end       endmodule 不知道少了什麼, output都沒有東西? 謝謝  
    12. verilog串口问题 5/4387 FPGA/CPLD 2010-06-24
      樓上的請問一下 小弟我剛學,怎麼寫verilog testbench (ISIM), 我把clk 設定每個周期20ns, RxD 設定每10ns high, 10ns low...為什麼這樣我得不到RxD_data, 都一直是xxxxxxxx, 可以指教一下我該如何改? 謝謝
    13. 資料很豐富~感謝大大分享
    14. 串口通信编程大全.pdf 211/47579 FPGA/CPLD 2010-06-24
      謝謝大大分享~對於新手我來說幫助很大!

最近访客

< 1/1 >

统计信息

已有103人来访过

  • 芯积分:--
  • 好友:--
  • 主题:3
  • 回复:14

留言

你需要登录后才可以留言 登录 | 注册


现在还没有留言