ws131d

    1. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity xiaoba10 is port(     clk:in std_logic; --    co  :out std_logic;     led7s1 : out std_logic_vector(7 downto 0);     led7s2 : out std_logic_vector(7 downto 0);     led7s3 : out std_logic_vector(7 downto 0)     ); end xiaoba10; architecture a of xiaoba10 is signal m : std_logic_vector(3 downto 0); signal n : std_logic_vector(3 downto 0); signal b : std_logic_vector(24 downto 0); --signal led:std_logic_vector(15 downto 0); begin process(clk) begin if clk' event and clk='1' then b="1001100010010110100000000" then    b
    2. ENTITY Speakera IS         PORT ( clk : IN STD_LOGIC ; --待分频时钟12M Hz                    e : IN std_logic_vector(14 downto 0);--INTEGER RANGE 0 TO 16#7FF# ;--分频预置数输入                    f : OUT STD_LOGIC ) ; --发声输出 END ; --**************************************************** ARCHITECTURE one OF Speakera IS         SIGNAL q : STD_LOGIC_vector(14 downto 0) ;         SIGNAL c1,c2 : STD_LOGIC ; BEGIN PROCESS(clk)         BEGIN         IF e="000000000000000" THEN                         c1
    3. 矩阵键盘音乐键盘 2/2385 FPGA/CPLD 2012-04-30
      :Sad: 自己默默的顶起

最近访客

< 1/1 >

统计信息

已有276人来访过

  • 芯积分:--
  • 好友:--
  • 主题:3
  • 回复:3

留言

你需要登录后才可以留言 登录 | 注册


现在还没有留言