-
值得学习!!
-
好资料,认真学习~!!
-
感谢指点,由于本人在参加竞赛,有这个想法,所以求教~~,谢谢!!
-
谢谢啦~~正想学nios ii
-
我学的vhdl,现在不知道能干什么~先做点实际的东西
-
21:32 好久没来了 工作了一天辛苦啊~!!
-
谢谢 楼主辛苦
-
支持 顶起~~~·
-
22.29 充实的走过一个晚上!
-
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity choose is
port(clk: in std_logic;
a: in integer range 0 to 100;
b: in integer range 0 to 100;
c: in integer range 0 to 100;
d: in integer range 0 to 100;
max: in integer range 0 to 100;
min: in integer range 0 to 100);
end entity choose;
architecture behave of choose is
begin
u1: process(clk,a,b)
variable t1: integer range 0 to 100;
variable m1: integer range 0 to 100;
begin
if(a>b)then t1
-
电赛结束了开始了考研的准备!!复习zhong!!
-
本帖最后由 paulhyde 于 2014-9-15 09:30 编辑 真好学习了 可以更加贴切的认识竞赛 进行准备
-
说得好!! 人有时就是要拥有一项拿得出手的技术,不然总被人瞧不起!!
-
以后可以更好的发言了 ,呵呵 支持下 希望更多像我这样的新手看到!!
-
谢谢分享了 资料要多准备 谢谢楼主了!!!
-
我们参加了学校的09年全国大学生电子设计大赛培训,就是介绍下做东西的全部流程和一些单片机知识,现在我们带队老师要求我们熟悉历年的题目方案,掌握关键技术,了解相应的方案设计,快考试了,我们组的都在复习,看的时间不多,只要学习FPGA,和protell99se画板子 希望参加的同学都好好努力加油 取得好的成绩!!!!
-
来报到了
本人是西北大学的,等了很久终于等到了电赛的到来, 我倾尽全力希望能在电赛中能取得一个好的成绩,为学校争回光,
我们组三个成员,现在感觉大家总不在一起讨论,很疏远,不知道后面会不会有所好转,预祝我们成功,一切顺利。
好好加油啊!! 多学点东西以备不时之需!!
-
积极回帖 学习更多的知识咯!!!!
-
一般!! pcb是什么做的啊 protellse99 打不开的
-
万事小心 市场上骗子很多啊